Publication

International Conferences

Year 2017

No.

Publication

Title


1.

IEEE International Solid-State Circuits Conference (ISSCC)

A 0.0047mm2 Highly Synthesizable TDC- and DCOLess Fractional-N PLL with a Seamless Lock Range of fREF to 1GHz

Author | Hwasuk Cho, Kihwan Seong, Kwang-Hee Choi, Jin-Hyeok Choi, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE International Solid-State Circuits Conference (ISSCC)

A Quadrature Relaxation Oscillator with a Process-Induced Frequency-Error Compensation Loop

Author | Jahyun Koo, Kyoung-Sik Moon, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


3.

IEEE International Solid-State Circuits Conference (ISSCC)

A 9.3nW All-in-One Bandgap Voltage and Current Reference Circuit

Author | Youngwoo Ji, Cheonhoo Jeon, Hyunwoo Son, Byungsub Kim,Hong-June Park, Jae-Yoon Sim


4.

IEEE Symposium on VLSI Technology and Circuits (VLSI)

An FFE TX with 3.8x Eye Improvement by Automatic Impedance Adaptation for Universal Compatibility with Arbitrary Channel and RX Impedances

Author | Minsoo Choi, Sooeun Lee, Myungguk Lee, Jihoon Lee, Jae-Yoon Sim, Hong-June Park and Byungsub Kim


5.

IEEE Symposium on VLSI Technology and Circuits (VLSI)

A 16.6-pJ/b 150-Mb/s Body Channel Communication Transceiver with Decision Feedback Equalization Improving >200% Area Efficiency

Author | Ji-Hoon Lee, Kwangmin Kim, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, and Byungsub Kim


6.

IEEE International Solid-State Circuits Conference (ISSCC)

A Time-Based Receiver with 2-Tap DFE for a 12Gb/s/pin Single-Ended Transceiver for Mobile DRAM Interface in 0.8V 65nm CMOS

Author | Il-Min Yi, Min-Kyun Chae, Seok-Hun Hyun, Seung-Jun Bae, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park