Publication

International Journals

Year 2024

No.

Publication

Title


1.

Journal of Semiconductor Technology and Science (JSTS)

A 1.03MOPS/W Lattice-based Post-quantum Cryptography Processor for IoT Devices

Author | ByungJun Kim, Han-Gyeol Mun, Shinwoong Kim, JongMin Lee, Jae-Yoon Sim

Year 2023

No.

Publication

Title


1.

IEEE Transactions on Circuits and Systems I  (TCAS-I)


2.

IEEE Solid-State Circuits Letters (SSC-L)


3.

IEEE Journal of Solid-State Circuits (JSSC)


4.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 

A Temperature Compensated Ring Oscillator With LC -Based Period Error Detection

Author | Seongun Bae, Minseob Lee, Sang-Min Yoo, Jae-Yoon Sim

Year 2022

No.

Publication

Title


1.


Advanced Materials 

Bimetallic Nanocatalysts Immobilized in Nanoporous Hydrogels for Long-Term Robust Continuous Glucose Monitoring of Smart Contact Lens

Author | Su-Kyoung Kim, Geon-Hui Lee, Cheonhoo Jeon, Hye Hyeon Han, Seong-Jong Kim, Jee Won Mok, Choun-Ki Joo, Sangbaie Shin, Jae-Yoon Sim, David Myung, Zhenan Bao, Sei Kwang Hahn


2.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 

Low-Noise Distributed RC Oscillator

Author | Jahyun Koo, Jae-Yoon Sim


3.


Advanced Science

Smart Wireless Near-Infrared Light Emitting Contact Lens for the Treatment of Diabetic Retinopathy

Author | Geon-Hui Lee, Cheonhoo Jeon, Jee Won Mok, Sangbaie Shin, Su-Kyoung Kim, Hye Hyeon Han, Seong-Jong Kim, Sang Hoon Hong, Hwanhee Kim, Choun-Ki Joo, Jae-Yoon Sim, Sei Kwang Hahn


4.

IEEE Journal of Solid-State Circuits (JSSC)


5.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI)


6.

IEEE Journal of Solid-State Circuits (JSSC)

A 40-nm Cryo-CMOS Quantum Controller IC for Superconducting Qubit

Author | Kiseo Kang, Donggyu Minn, Seongun Bae, Jaeho Lee, Seokhyeong Kang, Moonjoo Lee, Ho-Jin Song, Jae-Yoon Sim


7.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 384G Output Non-Zeros/J Graph Convolutional Neural Network Accelerator

Author | Kyeong-Jun Lee, Seunghyun Moon, Jae-Yoon Sim


8.

IEEE Transactions on Circuits and Systems II (TCAS-II)

An 8.9-to-71.3 TOPS/W Deep Learning Accelerator for Arbitrarily Quantized Neural Networks

Author | Seunghyun Moon, Kyeong-Jun Lee, Han-Gyeol Mun, Byung Jun Kim, Jae-Yoon Sim


9.

IEEE Transactions on Circuits and Systems II (TCAS-II)


10.

IEEE Transactions on Circuits and Systems II (TCAS-II)


11.


AIP Advances

Ion trap with gold-plated alumina: Substrate and surface characterization

Author | Myunghun Kim, Keumhyun Kim, Jungsoo Hong, Hyegoo Lee, Youngil Moon, Won Chan Lee, Sehyun Kim, Taekyun Ha, Jae-Yoon Sim, Moonjoo Lee


12.

IEEE Transactions on Circuits and Systems I  (TCAS-I)

Bottleneck-Stationary Compact Model Accelerator with Reduced Requirement on Memory Bandwidth for Edge Applications

Author | Han-Gyeol Mun , Seunghyun Moon , ByungJun Kim , Kyeong-Jun Lee , and Jae-Yoon Sim


13.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)

Year 2021

No.

Publication

Title


1.

IEEE Journal of Solid-State Circuits (JSSC)


2.

Journal of Semiconductor Technology and Science (JSTS)


3.

Journal of Semiconductor Technology and Science (JSTS)


4.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 12μs-Conversion, 20mK-Resolution Temperature Sensor Based on SAR ADC

Author | Hwan-Seok Ku, Seungnam Choi, Jae-Yoon Sim


5.

IEEE Transactions on Circuits and Systems II (TCAS-II)

6.

IEICE Transactions on Electronics


7.


Electronics

Year 2020

No.

Publication

Title


1.

IEEE Transactions on Circuits and Systems II (TCAS-II)


2.

IEEE Transactions on Circuits and Systems II (TCAS-II)


3.

IEEE Transactions on Circuits and Systems II (TCAS-II)

An 18-Gb/s NRZ Transceiver with a Channel-Included 2-UI Impulse-Response Filtering FFE and 1-Tap DFE Compensating up to 32-dB Loss

Author | Cheolmin Ahn, Jaehyeong Hong, Jongshin Shin, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


4.

IEEE Journal of Solid-State Circuits (JSSC)

A Smart Contact Lens Controller IC Supporting Dual-Mode Telemetry with Wireless-Powered Backscattering LSK and EM-Radiated RF Transmission Using a Single Loop Antenna

Author | Cheonhoo Jeon, Jahyun Koo, Kyongsu Lee, Minseob Lee, Su-Kyoung Kim, Sangbaie Shin, Sei Kwang Hahn, Jae-Yoon Sim


5.


Nano Energy

Reliable DC voltage generation based on the enhanced performance triboelectric nanogenerator fabricated by nanoimprinting-poling process and an optimized high efficiency integrated circuit

Author | Donghyeon Yoo¹, Seoulmin Lee¹, Jeong-Won Lee, Kwangseok Lee, Eun Yeong Go, Woonbong Hwang, Insang Song, Sung Baek Cho, Do Wan Kim, Dongwhi Choi, Jae-Yoon Sim, Dong Sung Kim


6.


Microelectronics Journal

A pattern-dependent injection-locked CDR for clock-embedded signaling

Author | Jaehyeong Hong, Dong Hoon Baek, Hyunwoo Son, Cheolmin Ahn, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


7.


Scientific Reports

Arrangement Optimization of Water-Driven Triboelectric Nanogenerators Considering Capillary Phenomenon Between Hydrophobic Surfaces

Author | Hong Ryul Park, Jeong-Won Lee, Dong Sung Kim, Jae-Yoon Sim, Insang Song, Woonbong Hwang


8.

IEEE Transactions on Circuits and Systems II  (TCAS-II)

Low-Power Small-Area Inverter-Based DSM for MEMS Microphone

Author | Seong-Eun Cho, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


9.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A 7.8 Gb/s/pin, 1.96 pJ/b Transceiver With Phase-Difference-Modulation Signaling for Highly Reflective Interconnects

Author | Sooeun Lee, Jaeyoung Seo, Kyunghyun Lim, Jaehyun Ko, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


10.


Science Advances

Wireless smart contact lens for diabetic diagnosis therapy

Author | Do Hee Keum, Su-Kyoung Kim, Jahyun Koo, Geon-Hui Lee, Cheonhoo Jeon, Jee Won Mok, Beom Ho Mun, Keon Jae Lee, Ehsan Kamrani, Choun-Ki Joo, Sangbaie Shin, Jae-Yoon Sim, David Myung, Seok Hyun Yun, Zhenan Bao, Sei Kwang Hahn


11.


Scientific Reports

Arrangement optimization of water-driven triboelectric nanogenerators considering capillary phenomenon between hydrophobic surfaces

Author | Hong Ryul Park, Jeong-Won Lee, Dong Sung Kim, Jae-Yoon Sim, Insang Song, Woonbong Hwang

Year 2019

No.

Publication

Title


1.

IEEE Journal of Solid-State Circuits (JSSC)

A 192 pW Voltage Reference Generating Bandgap−Vth With Process and Temperature Dependence Compensation

Author | Youngwoo Ji, Jungho Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

ACS Applied Materials & Interfaces

Hyaluronate–Gold Nanoparticle/Glucose Oxidase Complex for Highly Sensitive Wireless Noninvasive Glucose Sensors

Author | Su-Kyoung Kim, Cheonhoo Jeon, Geon-Hui Lee, Jahyun Koo, Seong Hwi Cho, Seulgi Han, Myeong-Hwan Shin, Jae-Yoon Sim*, and Sei Kwang Hahn*


3.

IEEE Transactions on Plasma Science


4.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)

A Multilayer-Learning Current-Mode Neuromorphic System With Analog-Error Compensation

Author | Hyunwoo Son, Hwasuk Cho, Jungho Lee, Seongun Bae, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


5.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A Quadrature RC Oscillator with Noise Reduction by Voltage Swing Control

Author | Jahyun Koo, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


6.

IEEE Journal of Solid-State Circuits (JSSC)


7.


Nano Energy

Toward Sustainable Output Generation of Liquid-Solid Contact Triboelectric Nanogenerators: The Role of Hierarchical Structures

Author | Handong Cho, Jihoon Chung, Gunsub Shin, Jae-Yoon Sim, Dong Sung Kim, Sangmin Lee, Woonbong Hwang


8.


Nano Energy

Pump Drill-Integrated Triboelectric Nanogenerator as a Practical Substitute for Batteries of Intermittently Used Devices

Author | Jeong-Won Lee, Jonghyeon Jeong, Donghyeon Yoo, Kwangseok Lee, Seoulmin Lee, Dong Sung Kim, Jae-Yoon Sim, Woonbong Hwang


9.


Nano Energy

Biomimetic Anti-Reflective Triboelectric Nanogenerator for Concurrent Harvesting of Solar and Raindrop Energies

Author | Donghyeon Yoo, Seung-Chul Park, Seoulmin Lee, Jae-Yoon Sim, Insang Song, Dongwhi Choi, Hyuneui Lim, Dong Sung Kim


10.


Nanomaterials

Increased Interfacial Area Between Dielectric Layer and Electrode of Triboelectric Nanogenerator Toward Robustness and Boosted Energy Output

Author | Donghyeon Yoo, Eun Yeong Go, Dongwhi Choi, Jeong-Won Lee, Insang Song, Jae-Yoon Sim, Woonbong Hwang, Dong Sung Kim


11.

IEEE Transactions on Component, Packaging and Manufacturing Technology

Parallel Branching of Two 2-DIMM Sections with Write-Direction Impedance Matching for an 8-Drop 6.4-Gb/s SDRAM Interface

Author | Won-Cheol Lee, Min-Kyun Chae, Kyoung-Jae Soh, Ensung Seo, Young-Soo Sohn, Kwangil Park, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


12.


Nano Energy

Extremely High and Elongated Power Output from a Mechanical Mediator-Assisted Triboelectric Nanogenerator Driven by the Biomechanical Energy

Author | Jaewon Yoo, Donghyeon Yoo, Seoulmin Lee, Jae-Yoon Sim, Woonbong Hwang, Dongwhi Choi, Dong Sung Kim

Year 2018

No.

Publication

Title


1.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 

A Study on Bandgap Reference Circuit with Leakage-Based PTAT Generation

Author | Youngwoo Ji, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Journal of Solid-State Circuits (JSSC)

An 84.6-dB-SNDR and 98.2-dB-SFDR Residue-Integrated SAR ADC for Low-Power Sensor Applications

Author | Seungnam Choi, Hwan-Seok Ku, Hyunwoo Son, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


3.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)

An On-chip Learning Neuromorphic Autoencoder with Current-Mode Transposable Memory Read and Virtual Lookup Table

Author | Hwasuk Cho, Hyunwoo Son, Kihwan Seong, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


4.

Journal of Semiconductor Technology and Science (JSTS)

Design of Digital CMOS Neuromorphic IC with Current-starved SRAM Synapse for Unsupervised Stochastic Learning

Author | Hwasuk Cho, Hyungwoo Son, Jun-Seok Kim, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


5.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 


6.


Micromachines

A Spherical Hybrid Triboelectric Nanogenerator for Ehanced Water Wave Energy Harvesting

Author | Kwangseok Lee, Jeong-won Lee, Kihwan Kim, Donghyeon Yoo, Dong Sung Kim, Woonbong Hwang, Insang Song, Jae-Yoon Sim


7.

IEEE Journal of Solid-State Circuits (JSSC)

An FFE Transmitter Which Automatically and Adaptively Relaxes Impedance Matching

Author | Minsoo Choi, Sooeun Lee, Myungguk Lee, Ji-Hoon Lee, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


8.

IEEE Journal of Solid-State Circuits (JSSC)

A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile DRAM Interface

Author | Il-Min Yi, Min-Kyun Chae, Seok-Hun Hyun, Seung-Jun Bae, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park

Year 2017

No.

Publication

Title


1.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A Self-Biased Current-Mode Amplifier With an Application to 10-bit Pipeline ADC

Author | Seungnam Choi, Yunjae Suh, Joohyun Lee, Jinkyu Kim, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 250-μW 2.4-GHz Fast-Lock Fractional-N Frequency Generation for Ultra-low-Power Applications

Author | Seunghwan Hong, Shinwoong Kim, Seungnam Choi, Hwasuk Cho, Jaehyeong Hong, Young-Hun Seo, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


3.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)

A Low-Power Wide Dynamic-Range Current Readout Circuit for Ion-Sensitive FET Sensors

Author | Hyunwoo Son, Hwasuk Cho, Jahyun Koo, Youngwoo Ji, Byungsub Kim, Hong-June Park, Jae-Yoon Sim

4.

IEEE Transactions on Plasma Science

Microwave Plasma Generation With Resonance Frequency Tracking and Power Regulation

Author | Soon Ku Kwon, Seung-Jin Park, Im Hee Won, Cheolmin Ahn, Jae-Yoon Sim


5.

IEEE Transactions on Circuits and Systems II (TCAS-II)

An Approximate Transfer Function Model of Two Serially Connected Heterogeneous Transmission Lines

Author | Jaeyoung Seo, Minsoo Choi, Sanquan Song, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


6.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 

Investigation on the Worst Read Scenario of a ReRAM Crossbar Array

Author | Yelim Youn, Kwangmin Kim, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


7.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)


A Single-Chip 64-Channel Ultrasound RX - Beamformer Including Analog Front-End and an LUT for Non-Uniform ADC-Sample-Clock Generation

Author | Yoon-Jee Kim, Sung-Eun Cho, Ji-Yong Um, Min-Kyun Chae, Jihoon Bang, Jongkeun Song, Taeho Jeon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


8.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A Phase-Interpolator-Based Fractional Counter for All-Digital Fractional-N Phase-Locked Loop

Author | Young-Ho Choi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park

Year 2016

No.

Publication

Title


1.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 


2.

IEEE Transactions on Circuits and Systems I (TCAS-I)


3.

Journal of Circuits, Systems and Computers


4.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A 0.65-to-10.5 Gb/s Reference-Less CDR With Asynchronous Baud-Rate Sampling for Frequency Acquisition and Adaptive Equalization

Author | Seungnam Choi, Hyunwoo Son, Jongshin Shin, Sang-Hyun Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


5.

IEEE Journal of Solid-State Circuits (JSSC)

A 2 GHz Synthesized Fractional-N ADPLL With Dual-Referenced Interpolating TDC

Author | Shinwoong Kim, Seunghwan Hong, Kapseok Chang, Hyungsik Ju, Jaewook Shin, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


6.

IEEE Journal of Solid-State Circuits (JSSC)

A Coefficient-Error-Robust Feed Forward Equalizing Transmitter for Eye-variation and Power Improvement

Author | Seungho Han, Sooeun Lee, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


7.

Journal of Semiconductor Technology and Science (JSTS)

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0, Journal of Semiconductor Technlogy and Science

Author | Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park


8.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 

 All-Synthesizable Current-Mode Transmitter Driver for USB2.0 interface

Author | Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park


9.

IEEE Journal of Solid-State Circuits (JSSC)


A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point DRAM Interface

Author | Soo-Min Lee, Ji-Hoon Lim, Il-Min Yi, Young-Jae Jang, Hae-Kang Jung, Kyunghoon Kim, Daehan Kwon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


10.


IEEE Sensors Journal


11.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A 40 mV-Differential-Channel-Swing Transceiver Using a RX Current-Integrating TIA and a TX Pre-Emphasis Equalizer With a CML Driver at 9 Gb/s

Author | Il-Min Yi, Soo-Min Lee, Seung-Jun Bae, Young-Soo Sohn, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim


12.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A Delay Locked Loop with a Feedback Edge Combiner of Duty Cycle Corrector with a 20%-80% Input-Duty-Cycle for SDRAMs

Author | Ji Hoon Lim, Jun Hyun Bae, Jaemin Jang, Hae Kang Jung, Hynbae Lee, Youngju Kim, Byungsub, Kim, Jae-Yoon Sim, Hong-June Park

Year 2015

No.

Publication

Title


1.

Journal of Semiconductor Technology and Science (JSTS)

An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL

Author | Jong Mi Lee, Dong-Woo Jee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Journal of Solid-State Circuits (JSSC)


3.

IEEE Transactions on Components, Packaging and Manufacturing Technology


4.

Journal of Semiconductor Technology and Science (JSTS)

EMI Issues in Pseudo-Differential Signaling for SDRAM Interface

Author | Young-Jae Jang, Il-Min Yi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


5.

Plasma Processes and Polymers

Characterization and Effects of Ar/Air Microwave Plasma on Wound Healing

Author | H.Y.Kim, S.K.Kang, S.M.Park, H.Y.Jung, B.H.Choi, J.Y.Sim, J.K.Lee


6.

Journal of Semiconductor Technology and Science (JSTS)


7.

IEEE Transactions on Circuits and Systems I (TCAS-I)

An Approximate Closed-Form Transfer Function Model for Diverse Differential Interconnects

Author | Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


8.

Journal of Semiconductor Technology and Science (JSTS)

An Adaptive-Bandwidth Referenceless CDR with Small-area Coarse and Fine Frequency Detectors

Author | Hye-Jung Kwon, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


9.

Journal of Semiconductor Technology and Science (JSTS)

An Adaptive Equalizer for High-Speed Receiver using a CDR-Assisted All-Digital Jitter Measurement

Author | Jong-Hoon Kim, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


10.

IEEE Sensors Journal


An LCD-VCOM-Noise Resilient Mutual-Capacitive Touch-Sensor IC Chip With a Low-Voltage Driving Signal

Author | Jae-Seung Lee, Dong-Hee Yeo, Hye-Jung Kwon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


11.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)


12.

Journal of Semiconductor Technology and Science (JSTS)

Cost-Efficient and Automatic Large Volume Data Acquisition Method for On-Chip Random Process Variation Measurement

Author | Sooeun Lee, Seungho Han, Ikho Lee, Jae-Yoon Sim, Hong-June Park, Byungsub Kim

Year 2014

No.

Publication

Title


1.

IEEE Journal of Solid-State Circuits (JSSC)

Current-Mode Transceiver for Silicon Interposer Channel

Author | Seung-Hun Lee, Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, and Jae-Yoon Sim


2.

IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 


3.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 0.5-V, 1.47-μW 40-kS/s 13-bit SAR ADC With Capacitor Error Compensation

Author | Hyunsoo Ha, Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


4.

Japanese Journal of Applied Physics

Comparative study between atmospheric microwave and low-frequency plasmas : Production efficiency of reactive species and their effectiveness

Author | Myoung Soo Kim, Ho Young Kim, Hyun Kook Shin, Hyoung Cheol Kwon, Jae Yoon Sim, Jae Koo Lee


5.

IEEE Transactions on Plasma Science

Ozone-free Portable Microwave Atmospheric Air Plasma Jet

Author | Sung Kil Kang, Jae-Yoon Sim, Jae Koo Lee


6.

IEEE Journal of Solid-State Circuits (JSSC)

A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes

Author | Seokhyeon Jeong, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, David Blaauw, Dennis Sylvester


7.

IEEE Transactions on Biomedical Circuits and Systems (TBCAS)

An Analog-Digital Hybrid RX Beamformer Chip with Non-uniform Sampling for Ultrasound Medical Imaging with 2D CMUT Array

Author | Ji-Yong Um, Yoon-Jee Kim, Seong-Eun Cho, Min-Kyun Chae, Jongkeun Song, Baehyung Kim Seunghun Lee, Jihoon Bang, Youngil Kim, Kyungil Cho, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


8.

IEEE Journal of Solid-State Circuits (JSSC)

An 80 mV-Swing Single-Ended Duobinary Transceiver With a TIA RX Termination for the Point-to-Point DRAM Interface

Author | Soo-Min Lee, Il-Min Yi, Hae-Kang Jung, Hyunbae Lee, Yong-Ju Kim, Yun-Saing Kim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


9.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 40-mV-Swing Single-Ended Transceiver for TSV with a Switched-Diode RX Termination

Author | Il-Min Yi, Soo-Min Lee, Seung-Jun Bae, Young-Soo Sohn, Jung-Hwan Choi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


10.

IEEE Transactions on Circuits and Systems I (TCAS-I)

An Approximate Closed-Form Channel Model for Diverse Interconnect Applications

Author | Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim


11.

IEEE Transactions on Circuits and Systems II (TCAS-II)

Analysis of an Open-Loop Time Amplifier With a Time Gain Determined by the Ratio of Bias Current

Author | Hye-Jung Kwon, Jae-seung Lee, Jae-Yoon Sim, Hong-June Park


12.

Journal of Semiconductor Technology and Science (JSTS)

Verilog Modeling of Transmission Line for USB 2.0 High-Speed PHY Interface

Author | Ki-Hwan Seong, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park


13.

Journal of Semiconductor Technology and Science (JSTS)

A Single-Stage 37 dB-Linear Digitally-Controlled Variable Gain Amplifier for Ultrasound Medical Imaging

Author | Seong-Eun Cho, Ji-Yong Um, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park

Year 2013

No.

Publication

Title


1.

IEEE Journal of Solid-State Circuits (JSSC)

A FIR-embedded phase interpolator based noise filtering for wide-bandwidth fractional-N PLL

Author | Dong-Woo Jee, Yunjae Suh, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Journal of Solid-State Circuits (JSSC)

A 5Gb/s single-ended parallel receiver with adaptive crosstalk-induced jitter cancellation

Author | Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


3.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 10-bit 25-MS/s 1.25-mW Pipelined ADC With a Semidigital Gm-Based Amplifier

Author | Yunjae Suh, Jongmi Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


4.

IEEE Transactions on Circuits and Systems II (TCAS-II)


5.

IEEE Journal of Solid-State Circuits (JSSC)


6.


Physics of Plasmas


7.

IEEE Transactions on Circuits and Systems I (TCAS-I)

 A Digital-Domain Calibration of Split-Capacitor DAC for a Differential SAR ADC Without Additional Analog Circuits

Author | Ji-Yong Um, Yoon-Jee Kim, Eun-Woo Song, Jae-Yoon Sim, Hong-June Park


8.

Journal of Semiconductor Technology and Science (JSTS)

 A Channel Model of Scaled RC-dominant Wires for High-Speed Wireline Transceiver Design

Author | Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim

Year 2012

No.

Publication

Title


1.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 1.9-GHz Fractional-N Digital PLL With Subexponent ΔΣTDC and IIR-Based Noise Cancellation

Author | Dong-Woo Jee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Journal of Solid-State Circuits (JSSC)

A 2 GHz Fractional-N Digital PLL with 1b Noise Shaping ΔΣ TDC, IEEE J. Solid State Circuits

Author | Dong-Woo Jee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim


3.

IEEE Journal of Solid-State Circuits (JSSC)

A 1.25 ps Resolution 8b Cyclic TDC in 0.13μm CMOS

Author | Young-Hun Seo, Jun-Seok Kim, Hong-June Park, Jae-Yoon Sim


4.

IEEE Journal of Solid-State Circuits (JSSC)


5.

Plasma Sources Science and Technology

Atmospheric-pressure plasma sources for biomedical applications

Author | G Y Park, S J Park, M Y Choi, I G Koo, J H Byun, J W Hong, J Y Sim, G J Collins, J K Lee

Year 2011

No.

Publication

Title


1.

Journal of Electrical and Computer Engineering

 A Wide Lock-Range Referenceless CDR with Automatic Frequency Acquisition

Author | Seon-Kyoo Lee, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim


2.

IEEE Journal of Solid-State Circuits (JSSC)


3.

IEEE Transactions on Circuits and Systems II (TCAS-II)


4.

IEEE Journal of Solid-State Circuits (JSSC)

A 110 MHz to 1.4 GHz Locking 40-Phase All-Digital DLL

Author | Young-Sang Kim, Seon-Kyoo Lee, Hong-June Park, Jae-Yoon Sim


5.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 2-Gb/s Intrapanel Interface for TFT-LCD With a VSYNC-Embedded Subpixel Clock and a Cascaded Deskew and Multiphase DLL

Author | Hyung-Joon Chi, Young-Ho Choi, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park, Jong-Jin Lim, Pil-Sung Kang, Bu-Yeol Lee, Jin-Cheol Hong, Hee-Sub Lee


6.

IEEE Journal of Solid-State Circuits (JSSC)

A Single-Loop SS-LMS Algorithm With Single-Ended Integrating DFE Receiver for Multi-Drop DRAM Interface

Author | Hyung-Joon Chi, Jae-Seung Lee, Seong-Hwan Jeon, Seung-Jun Bae, Young-Soo Sohn, Jae-Yoon Sim, Hong-June Park


7.

IEEE Transactions on Circuits and Systems II (TCAS-II)

5-Gb/s Peak Detector Using a Current Comparator and a Three-State Charge Pump

Author | Jong-Hoon Kim, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park

~ Year 2010

No.

Publication

Title


1.

IEICE Transactions on Electronics


2.

IEEE Journal of Solid-State Circuits (JSSC)

A 1GHz ADPLL With a 1.25ps Minimum-Resolution Sub-Exponent TDC in 0.18μm CMOS

Author | Seon-Kyoo Lee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim


3.

 IEEE Transactions on Plasma Science

Inactivation of S. mutans Using an Atmospheric Plasma Driven by a Palm-Size-Integrated Microwave Power Module

Author | Seung-Jin Park, Jun Choi, Gan Young Park, Seon-Kyoo Lee, Youngsu Cho, Ji In Yun, Sangmin Jeon, Kyong Tai Kim, Jae Koo Lee, Jae-Yoon Sim


4.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 5 Gb/s Transmitter With a TDR-Based Self-Calibration of Preemphasis Strength

Author | Young-Hun Seo, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim


5.

IEICE Transactions on Electronics

A Distortion-Free General Purpose LVDS Driver

Author | Seung-Jin Park, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim


6.

IEICE Transactions on Electronics

Deadzone-Minimized Systematic Offset-Free Phase Detectors

Author | Young-Sang Kim, Yunjae Suh, Hong-June Park, Jae-Yoon Sim


7.

Electronics Letters

FEXT-eliminated stub-alternated microstrip line for multi-Gb/s parallel links

Author | Seon-Kyoo Lee, Kyoungho Lee, Hong-June Park, Jae-Yoon Sim


8.

Journal of Semiconductor Technology and Science (JSTS)

A SSN-Reduced 5Gb/s Parallel Transmitter

Author | Seon-Kyoo Lee, Kyoungho Lee, Hong-June Park, Jae-Yoon Sim


9.

IEEE Transactions on Circuits and Systems II (TCAS-II)


10.

Journal of Semiconductor Technology and Science (JSTS)


11.

IEICE Transactions on Electronics


12.

IEEE Journal of Solid-State Circuits (JSSC)


13.

IEICE Transactions on Electronics


14.

IEICE Transactions on Electronics

A full-swing peak-current-reduced CMOS output driver

Author | Jae-Yoon Sim, Kee-Won Kwon


15.

IEEE Journal of Solid-State Circuits (JSSC)


16.

IEEE Journal of Solid-State Circuits (JSSC)

A 1.8V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor

Author | Jae-Yoon Sim, Hongil Yoon, Ki-Chul Chun, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo, Soo-In Cho


17.

IEEE Journal of Solid-State Circuits (JSSC)

A CMOS transceiver for DRAM bus system with a demultiplexed equalization scheme

Author | Jae-Yoon Sim, Jang-Jin Nam, Young-Soo Sohn, Hong-June Park, Changhyun Kim, Soo-In Cho


18.

IEEE Journal of Solid-State Circuits (JSSC)

A 1Gb/s bidirectional I/O buffer using the current-mode Scheme

Author | Jae-Yoon Sim, Yong-Soo Sohn, Seung-Chan Heo, Hong-June Park, Soo-In Cho


19.

IEICE Transactions on Electronics

Adaptive biasing folded cascode CMOS OP amp with continuous-time push-pull CMFB scheme

Author | Jae-Yoon Sim, Cheol-Hee Lee, Won-Chang Jeong, Hong-June Park


20.

Journal of Semiconductor Technology and Science (JSTS)


21.

Journal of Semiconductor Technology and Science (JSTS)

A TX Clock Timing Technique for the CIJ Compensation of Coupled Microstrip Lines

Author | Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park


22.

IEICE Transactions on Electronics


23.

IEEE Transactions on Advanced Packaging

Serpentine Microstrip Lines With Zero Far-End Crosstalk for Parallel High-Speed DRAM Interfaces

Author | Kyoungho Lee, Hae-Kang Jung, Hyung-Joon Chi, Hye-Jung Kwon, Jae-Yoon Sim, Hong-June Park


24.


Plasma Processes and Polymers


25.

Plasma Processes and Polymers


26.

IEICE Transactions on Electronics

A Digital Differential Transmitter with Pseudo-LVDS Output Driver and Digital Mismatch Calibration

Author | Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park


27.

IEEE Journal of Solid-State Circuits (JSSC)

 A 4Gb/s 3-bit Parallel Transmitter With the Crosstalk-Induced Jitter Compensation Using TX Data Timing Control

Author | Hae-Kang Jung, Kyoungho Lee, Jong-Sam Kim, Jae-Jin Lee, Jae-Yoon Sim, Hong-June Park


28.

IEEE Transactions on Circuits and Systems I (TCAS-I)

An Interpolating Digitally Controlled Oscillator for a Wide-Range All-Digital PLL

Author | Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park


29.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A 2Gb/s CMOS Integrating Two-Tap DFE Receiver for Four-Drop Single-Ended Signaling

Author | Seung-Jun Bae, Hyung-Joon Chi, Young-Soo Sohn, Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park


30.

Journal of Semiconductor Technology and Science (JSTS)


31.

IEEE Microwave and Wireless Components Lett.

Reduction of Transient Far-End Crosstalk Voltage and Jitter in DIMM Connector for DRAM Interface

Author | Kyoungho Lee, Hae-Kang Jung, Jae-Yoon Sim, Hong-June Park


32.

Journal of Semiconductor Technology and Science (JSTS)

A 1.2V 7-bit 1GS/s CMOS Flash ADC with Cascaded Voting and Offset Calibration

Author | Young-Chan Jang, Jun-Hyun Bae, Ho-Young Lee, Yong-Sang You, Jae-Whui Kim, Jae-Yoon Sim, Hong-June Park


33.

IEEE Trans. on Advanced Packaging


34.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A Single-Data-Bit Blind Oversampling Data-Recovery Circuit With an Add-Drop FIFO for USB 2.0 High-Speed Interface

Author | Sang-Hune Park, Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park


35.

IEICE Transactions on Electronics

An 8.8-GS/s 6-bit CMOS Time-Interleaved Flash Analog-to-Digital Converter with Multi-Phase Clock Generator

Author | Young-Chan Jang, Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park


36.

Journal of Semiconductor Technology and Science (JSTS)

A Design Guide of 3-stage CMOS Operational Amplifier with Nested Gm-C Frequency Compensation

Author | Jae-Seung Lee, Jun Hyun Bae, Ho-Young Kim, Ji-Yong Um, Jae-Yoon Sim, Hong-June Park


37.


Current Applied Physics

A 1.8V 128 Mb mobile DRAM with hidden-precharged triple pumping scheme and dual-path hybrid current sense

Author | Ki-Chul Chun, Jae-Yoon Sim, Hongil Yoon, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo


38.


IEE Electronics Lett.

Full-swing CMOS output driver using on-chip capacitors with fast rising time and small overshoot

Author | Young-Soo Shon, Jin-Seok Park, Jae-Yoon Sim, Hong-June Park


39.

IEEE Journal of Solid-State Circuits (JSSC)

Analysis and prevention of DRAM latch-up during power-on

Author | Young-Hee Kim, Jae-Yoon Sim, Hong-June Park


40.

IEICE Transactions on Electronics

A temperature insensitive current controlled CMOS output driver

Author | Cheol-Hee Lee, Jae-Yoon Sim, Hong-June Park