Publication

International Journals

~ Year 2010

No.

Publication

Title


1.

IEICE Transactions on Electronics


2.

IEEE Journal of Solid-State Circuits (JSSC)

A 1GHz ADPLL With a 1.25ps Minimum-Resolution Sub-Exponent TDC in 0.18μm CMOS

Author | Seon-Kyoo Lee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim


3.


 IEEE Transactions on Plasma Science

Inactivation of S. mutans Using an Atmospheric Plasma Driven by a Palm-Size-Integrated Microwave Power Module

Author | Seung-Jin Park, Jun Choi, Gan Young Park, Seon-Kyoo Lee, Youngsu Cho, Ji In Yun, Sangmin Jeon, Kyong Tai Kim, Jae Koo Lee, Jae-Yoon Sim


4.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A 5 Gb/s Transmitter With a TDR-Based Self-Calibration of Preemphasis Strength

Author | Young-Hun Seo, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim


5.

IEICE Transactions on Electronics

A Distortion-Free General Purpose LVDS Driver

Author | Seung-Jin Park, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim


6.

IEICE Transactions on Electronics

Deadzone-Minimized Systematic Offset-Free Phase Detectors

Author | Young-Sang Kim, Yunjae Suh, Hong-June Park, Jae-Yoon Sim


7.


Electronics Letters

FEXT-eliminated stub-alternated microstrip line for multi-Gb/s parallel links

Author | Seon-Kyoo Lee, Kyoungho Lee, Hong-June Park, Jae-Yoon Sim


8.

Journal of Semiconductor Technology and Science (JSTS)

A SSN-Reduced 5Gb/s Parallel Transmitter

Author | Seon-Kyoo Lee, Kyoungho Lee, Hong-June Park, Jae-Yoon Sim


9.

IEEE Transactions on Circuits and Systems II (TCAS-II)


10.

Journal of Semiconductor Technology and Science (JSTS)


11.

IEICE Transactions on Electronics


12.

IEEE Journal of Solid-State Circuits (JSSC)


13.

IEICE Transactions on Electronics


14.

IEICE Transactions on Electronics

A full-swing peak-current-reduced CMOS output driver

Author | Jae-Yoon Sim, Kee-Won Kwon


15.

IEEE Journal of Solid-State Circuits (JSSC)


16.

IEEE Journal of Solid-State Circuits (JSSC)

A 1.8V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor

Author | Jae-Yoon Sim, Hongil Yoon, Ki-Chul Chun, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo, Soo-In Cho


17.

IEEE Journal of Solid-State Circuits (JSSC)

A CMOS transceiver for DRAM bus system with a demultiplexed equalization scheme

Author | Jae-Yoon Sim, Jang-Jin Nam, Young-Soo Sohn, Hong-June Park, Changhyun Kim, Soo-In Cho


18.

IEEE Journal of Solid-State Circuits (JSSC)

A 1Gb/s bidirectional I/O buffer using the current-mode Scheme

Author | Jae-Yoon Sim, Yong-Soo Sohn, Seung-Chan Heo, Hong-June Park, Soo-In Cho


19.

IEICE Transactions on Electronics

Adaptive biasing folded cascode CMOS OP amp with continuous-time push-pull CMFB scheme

Author | Jae-Yoon Sim, Cheol-Hee Lee, Won-Chang Jeong, Hong-June Park


20.

Journal of Semiconductor Technology and Science (JSTS)


21.

Journal of Semiconductor Technology and Science (JSTS)

A TX Clock Timing Technique for the CIJ Compensation of Coupled Microstrip Lines

Author | Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park


22.

IEICE Transactions on Electronics


23.

IEEE Transactions on Advanced Packaging

Serpentine Microstrip Lines With Zero Far-End Crosstalk for Parallel High-Speed DRAM Interfaces

Author | Kyoungho Lee, Hae-Kang Jung, Hyung-Joon Chi, Hye-Jung Kwon, Jae-Yoon Sim, Hong-June Park


24.

Plasma Processes and Polymers


25.

Plasma Processes and Polymers


26.

IEICE Transactions on Electronics

A Digital Differential Transmitter with Pseudo-LVDS Output Driver and Digital Mismatch Calibration

Author | Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park


27.

IEEE Journal of Solid-State Circuits (JSSC)

 A 4Gb/s 3-bit Parallel Transmitter With the Crosstalk-Induced Jitter Compensation Using TX Data Timing Control

Author | Hae-Kang Jung, Kyoungho Lee, Jong-Sam Kim, Jae-Jin Lee, Jae-Yoon Sim, Hong-June Park


28.

IEEE Transactions on Circuits and Systems I (TCAS-I)

An Interpolating Digitally Controlled Oscillator for a Wide-Range All-Digital PLL

Author | Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park


29.

IEEE Transactions on Circuits and Systems I (TCAS-I)

A 2Gb/s CMOS Integrating Two-Tap DFE Receiver for Four-Drop Single-Ended Signaling

Author | Seung-Jun Bae, Hyung-Joon Chi, Young-Soo Sohn, Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park


30.

Journal of Semiconductor Technology and Science (JSTS)


31.

IEEE Microwave and Wireless Components Lett.

Reduction of Transient Far-End Crosstalk Voltage and Jitter in DIMM Connector for DRAM Interface

Author | Kyoungho Lee, Hae-Kang Jung, Jae-Yoon Sim, Hong-June Park


32.

Journal of Semiconductor Technology and Science (JSTS)

A 1.2V 7-bit 1GS/s CMOS Flash ADC with Cascaded Voting and Offset Calibration

Author | Young-Chan Jang, Jun-Hyun Bae, Ho-Young Lee, Yong-Sang You, Jae-Whui Kim, Jae-Yoon Sim, Hong-June Park


33.

IEEE Trans. on Advanced Packaging


34.

IEEE Transactions on Circuits and Systems II (TCAS-II)

A Single-Data-Bit Blind Oversampling Data-Recovery Circuit With an Add-Drop FIFO for USB 2.0 High-Speed Interface

Author | Sang-Hune Park, Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park


35.

IEICE Transactions on Electronics

An 8.8-GS/s 6-bit CMOS Time-Interleaved Flash Analog-to-Digital Converter with Multi-Phase Clock Generator

Author | Young-Chan Jang, Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park


36.

Journal of Semiconductor Technology and Science (JSTS)

A Design Guide of 3-stage CMOS Operational Amplifier with Nested Gm-C Frequency Compensation

Author | Jae-Seung Lee, Jun Hyun Bae, Ho-Young Kim, Ji-Yong Um, Jae-Yoon Sim, Hong-June Park


37.


Current Applied Physics

A 1.8V 128 Mb mobile DRAM with hidden-precharged triple pumping scheme and dual-path hybrid current sense

Author | Ki-Chul Chun, Jae-Yoon Sim, Hongil Yoon, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo


38.


IEE Electronics Lett.

Full-swing CMOS output driver using on-chip capacitors with fast rising time and small overshoot

Author | Young-Soo Shon, Jin-Seok Park, Jae-Yoon Sim, Hong-June Park


39.

IEEE Journal of Solid-State Circuits (JSSC)

Analysis and prevention of DRAM latch-up during power-on

Author | Young-Hee Kim, Jae-Yoon Sim, Hong-June Park


40.

IEICE Transactions on Electronics

A temperature insensitive current controlled CMOS output driver

Author | Cheol-Hee Lee, Jae-Yoon Sim, Hong-June Park