Publication

International Conferences

Year 2013

No.

Publication

Title


1.

IEEE International Solid-State Circuits Conference (ISSCC)

A 95fJ/b Current-Mode Transceiver for 10mm On-Chip Interconnect

Author | Seon-Kyoo Lee, Seung-Hun Lee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim


2.

IEEE International Solid-State Circuits Conference (ISSCC)


3.

International SoC Design Conference (ISOCC)

Verilog Synthesis of USB 2.0 Full-speed Device PHY IP

Author | Kee-Bum Shin, Ki-Hwan Seong, Dong-Hee Yeo, Byungsub Kim, Jae-Yoon Sim, Hong June Park


4.

IEEE Asian Solid-State Circuits Conference (ASSCC)

A Power reduction of 37% in a Differential Serial Link Transceiver by Increasing the Termination Resistance

Author | Jong-Hoon Kim, Soo-Min Lee, Jae-Yoon Sim, Byungsub Kim, Hong-June Park


5.

IEEE Custom Integrated Circuits Conference (CICC)

45pW ESD Clamp Circuit for Ultra-Low Power Applications

Author | Yen-Po Chen, Yoonmyung Lee, Jae-Yoon Sim, Massimo Alioto, David Blaauw, Dennis Sylvester


6.

IEEE Custom Integrated Circuits Conference (CICC)

65nW CMOS temperature sensor for ultra-low power microsystems

Author | Seokhyeon Jeong, Jae-Yoon Sim, David Blaauw, Dennis Sylvester


7.

IEEE Custom Integrated Circuits Conference (CICC)

45pW ESD clamp circuit for ultra-low power applications

Author | Yen-Po Chen, Yoonmyung Lee, Jae-Yoon Sim, Massimo Alioto, David Blaauw, Dennis Sylvester


8.

IEEE International Solid-State Circuits Conference (ISSCC)

A 27% Reduction in Transceiver Power for Single-Ended Point-to-Point DRAM Interface with the Termination Resistance of 4xZ0 at both TX and RX

Author | Soo-Min Lee, Jong-Hoon Kim, Jongsam Kim, Yunsaing Kim, Hyunbae Lee, Jae-Yoon Sim, Hong-June Park


9.

International Conference of Electronics, Information and Communication

A 416-kS/s 12-bit algorithmic ADC compensating capacitance mismatch of MDAC in digital domain

Author | Ji-Yong Um, Jae-Seung Lee, Dong-Hee Yeo, Sang-su Lee, Kyeong-Gon Lee, Jae-Yoon Sim, Hong-June Park