Publication

International Conferences

Year 2016

No.

Publication

Title


1.

IEEE Asian Solid-State Circuit Conference (ASSCC)

All-Synthesizable 6Gbps Voltage-Mode Transmitter for Serial Link

Author | Young-Ho Choi, Kihwan Seong, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park


2.

IEEE International Conferenceon Consumer Electronics-Asia (ICCE-Asia)

An ECG monitoring system using Android smart phone

Author | Jaehyun Park, Kihwan Seong, Hyeon-Kyu Noh, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


3.

IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)

A low-power LDO circuit with a fast load regulation

Author | Young-Jae Jang, Seong-Eun Cho, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park


4.

International SoC Design Conference (ISOCC)

All-synthesizable transmitter driver and data recovery circuit for USB2.0 interface

Author | Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


5.

IEEE Symposium on VLSI Technology and Circuits (VLSI)

A Low-EMI Four-Bit Four-Wire Single-Ended DRAM Interface by Using a Three-Level Balanced Coding Scheme

Author | Il-Min Yi, Seung-Jun Bae, Min-Kyun Chae, Soo-Min Lee, Young-Jae Jang, Young-Chul Cho, Young-Soo Sohn, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


6.

International Conference on Electronics, Information and Communication (ICEIC)

All-synthesizable 5-phase Phase-Locked Loop

Author | Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park


7.

International Conference on Electronics, Information and Communication (ICEIC)

All-synthesizable current-mode transmitter driver for serial link interface

Author | Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park